Poly-SiGe for MEMS-above-CMOS Sensors için kapak resmi
Poly-SiGe for MEMS-above-CMOS Sensors
Başlık:
Poly-SiGe for MEMS-above-CMOS Sensors
Yazar:
Gonzalez Ruiz, Pilar. author.
ISBN:
9789400767997
Fiziksel Niteleme:
XVI, 199 p. online resource.
Seri:
Springer Series in Advanced Microelectronics, 44
İçindekiler:
Acknowledgements -- Abstract -- Symbols and Abbreviations -- Introduction -- Poly-SiGe As Piezoresistive Material -- Design of a Poly-SiGe Piezoresistive Pressure Sensor -- The Pressure Sensor Fabrication Process -- Sealing of Surface Micromachined Poly-SiGe Cavities -- Characterization of Poly-SiGe pressure sensors -- CMOS Integrated Poly-SiGe Piezoresistive Pressure Sensor -- Conclusions And Future Work -- Appendix A -- Appendix B -- Appendix C -- Appendix D.
Özet:
Polycrystalline SiGe has emerged as a promising MEMS (Microelectromechanical Systems) structural material since it provides the desired mechanical properties at lower temperatures compared to poly-Si, allowing the direct post-processing on top of CMOS. This CMOS-MEMS monolithic integration can lead to more compact MEMS with improved performance. The potential of poly-SiGe for MEMS above-aluminum-backend CMOS integration has already been demonstrated. However, aggressive interconnect scaling has led to the replacement of the traditional aluminum metallization by copper (Cu) metallization, due to its lower resistivity and improved reliability. Poly-SiGe for MEMS-above-CMOS sensors demonstrates the compatibility of poly-SiGe with post-processing above the advanced CMOS technology nodes through the successful fabrication of an integrated poly-SiGe piezoresistive pressure sensor, directly fabricated above 0.13 m Cu-backend CMOS. Furthermore, this book presents the first detailed investigation on the influence of deposition conditions, germanium content and doping concentration on the electrical and piezoresistive properties of boron-doped poly-SiGe. The development of a CMOS-compatible process flow, with special attention to the sealing method, is also described. Piezoresistive pressure sensors with different areas and piezoresistor designs were fabricated and tested. Together with the piezoresistive pressure sensors, also functional capacitive pressure sensors were successfully fabricated on the same wafer, proving the versatility of poly-SiGe for MEMS sensor applications. Finally, a detailed analysis of the MEMS processing impact on the underlying CMOS circuit is also presented.